CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fft vhdl

搜索资源列表

  1. fft

    0下载:
  2. 关于vhdl-FPGA实现fft算法的模块-MATLAB VHDL ADN EDA
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:1344
    • 提供者:刘新
  1. butterfly

    0下载:
  2.  计算离散傅里叶变换的一种快速算法,简称FFT。快速傅里叶变换是1965年由J.W.库利和T.W.图基提出的。采用这种算法能使计算机计算离散傅里叶变换所需要的乘法次数大为减少,特别是被变换的抽样点数N越多,FFT算法计算量的节省就越显著。 -Discrete Fourier transform calculation of a fast algorithm, referred to as FFT. Fast Fourier Transform in 1965 by JW Cooley an
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:1174
    • 提供者:圈石
  1. 102FFT

    0下载:
  2. 1024点的FFT变换,可以试试还不错的-1024-point FFT transform, you can try is also a good
  3. 所属分类:Algorithm

    • 发布日期:2017-04-17
    • 文件大小:440187
    • 提供者:李小虎
  1. VHDL_FFT_source_code

    0下载:
  2. 基于VHDL的FFT的源代码,包能使用,放心下载。-The FFT-based VHDL source code package can use, secure download.
  3. 所属分类:Algorithm

    • 发布日期:2017-04-03
    • 文件大小:29447
    • 提供者:雷一鸣
  1. 121114100FFT-IP

    0下载:
  2. 可以实现FFT的变换域的实现,通过点数的确认,可以实现fft变换 -FFT can achieve the realization of the transform domain, through the confirmation point can be achieved fft transform
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3112304
    • 提供者:roger
  1. 1024fft

    0下载:
  2. 使用vhdl实现的1024点的FFT算法-Using vhdl implementation of the 1024-point FFT algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4622
    • 提供者:谭利民
  1. fft2

    3下载:
  2. 512点8位基2fft程序。基于 vhdl/verilog。已仿真布线通过。-512 points, eight base 2fft program. Based on vhdl/verilog. Simulation layout has been adopted.
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-24
    • 文件大小:20453
    • 提供者:包鼎华
  1. FFT

    0下载:
  2. fft reciever and it can also be work as ifft transmitter
  3. 所属分类:Algorithm

    • 发布日期:2017-03-29
    • 文件大小:23537
    • 提供者:nifrad
  1. FFT

    0下载:
  2. IP核!!高速傅立叶变换的VHDL源代码 可以综合-IP core! ! High-speed Fourier transform of the VHDL source code can be integrated!!
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:31305
    • 提供者:殷桃
  1. NewFolder

    0下载:
  2. 32 bit FFT implementation
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:27437
    • 提供者:prashanthi
  1. fft_ifft_vhdl_codes

    0下载:
  2. this will give details of fft and ifft implementation in vhdl codes, and then on fpga chip
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-15
    • 文件大小:3760078
    • 提供者:ARUN AGARWAL
  1. fftandifft

    0下载:
  2. this is a code in VHDL for FFt and its inverse. also the programs are given in matlab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:29552
    • 提供者:mimi
  1. FFT_Implementation_in_FPGA

    0下载:
  2. This book is ERICSSON documentation "FFT, REALIZATION AND IMPLEMENTATION IN FPGA". Book includes some theoretical information about FFT Radix-2 and Radix-4, and also VHDL and Matlab code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:298083
    • 提供者:Anta
  1. TheResearchoftherealtimesignalprocessingofSARbased

    0下载:
  2. 3.完成系统的FPGA程序开发与调试,主要包括FFT,IFFT,CMUL和转置 存储控制等模块,在此基础上,重点介绍了一种基于DDR SDRAM的行写行读高 效转置存储算法,在采用该算法进行转置存储操作时,读写两端的速度相匹配, 满足流水线操作要求,提高了整个系统的实时性。最后介绍了采用CORDIC算法 实现复图像求模运算的方法,分析了算法的硬件实现结构,并给出了基于FPGA 的实现方法及仿真结果。-he FPGA s development and debugging ar
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5156342
    • 提供者:mabeibei
  1. 64pointFFTR2MDC

    6下载:
  2. 该工程实现了一个64点DIF FFT,verilog编写,采用R2MDC结构,通过Modelsim功能仿真,压缩包里有rtl代码,dc脚本,输出报告。-The project implements a 64-point DIF FFT, verilog compiled by R2MDC structure, through the Modelsim functional simulation, compression bag with rtl code, dc scr ipt, the out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:673140
    • 提供者:ShuChen
  1. fft

    0下载:
  2. vhdl语言实现的fft,大家可以试试,感觉还不错-vhdl language of the fft, we could try, feeling pretty good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:27106
    • 提供者:刘腾飞
  1. fft_fpga

    0下载:
  2. FFT(快速傅里叶变化)蝶形算法 Verilog HDL语言-FFT Verilog HDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:704103
    • 提供者:李云龙
  1. RADIX_64

    0下载:
  2. radix 64 point fft using vhdl design in fpga
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:126695
    • 提供者:bowya
  1. FFT

    0下载:
  2. 2点的碟形算法,其中包含了旋转因子乘法器,这是一种高效的复数乘法器.-2point dish method, which includes the rotation factor multiplier, which is a highly efficient complex multipliers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:687336
    • 提供者:luping
  1. FFt

    0下载:
  2. 基2FFT蝶形运算器,代码有仿真用数据。主代码用于仿真,碟形运算和复数乘法元件化-Based 2FFT butterfly, code with simulation data used. Master code for simulation, dish components of computing and complex multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2581
    • 提供者:treeyellow
« 1 2 3 4 56 7 8 9 10 ... 16 »
搜珍网 www.dssz.com